Mostrar el registro sencillo del ítem

dc.contributor.advisorChío Cho, Nayibespa
dc.contributor.authorDíaz Rueda, Juan Sebastiánspa
dc.contributor.authorRodríguez Quiñonez, Xavier Orlandospa
dc.date.accessioned2020-06-26T19:45:14Z
dc.date.available2020-06-26T19:45:14Z
dc.date.issued2007-05-22
dc.identifier.urihttp://hdl.handle.net/20.500.12749/1538
dc.description.abstractEn robótica móvil existe gran cantidad de trabajos enfocados a diferentes tareas, entre algunas de estas tenemos, la navegación entre laberintos, la recolección de objetos o el reconocimiento de entornos. En este caso la tarea consiste en desplazarse de un punto a otro en un plano, evadiendo los obstáculos, en caso de que estos existan. Dos características diferencian este trabajo de otros, una de ellas, la ausencia de algún mapa o guía para lograr la trayectoria, ya que solo se tiene los dos puntos identificados por medio de coordenadas cartesianas. La otra característica es la forma de controlar el robot, utilizando un FPGA o arreglo de compuertas lógicas de la marca Xilinx, y perteneciente a la familia Spartan 3E. Este FPGA viene montado en una tarjeta de desarrollo en la cual se conecta a diferentes interfaces y dispositivos, algunos de estos utilizados para el funcionamiento del robot, como lo son: botones, conectores, pantalla LCD, conversor digital-análogo, entre otros. El FPGA es programado utilizando el lenguaje de descripción hardware VHDL, mediante el cual se especifican las funciones que deben realizar los componentes del robot para luego ser sintetizadas en el formato que entiende el FPGA utilizando la herramienta de síntesis proveída con la tarjeta de desarrollo. La implementación de FPGA ofrece la gran ventaja del procesamiento en paralelo. De esta forma se reciben las señales de los sensores e inmediatamente se efectúan las acciones de control sin necesidad de esperar a que terminen otras secuencias.spa
dc.description.tableofcontentsINTROUCCION………………………………….I PLANTEAMIENTO DEL PROBLEMA………III OBJETIVOS………………………..V ANTECEDENTES………….......VI 1 MARCO TEORICO………………1 1.1 ROBOT MOVIL……………………1 1.1.1 Locomoción………………1 1.1.2 Modelos cinemáticos……………8 1.2 SENSORES………………12 1.2.1 Sensores de posición…………13 1.2.2 Sensores de proximidad ultrasónicos………14 1.3 ACTUADORES…………………….……16 1.3.1 Motores de corriente continua…………17 1.3.2 Motores paso a paso………………19 1.4 COMPONENTES ELECTRONICOS……………23 1.4.1 Driver analógico para motor TA7291…………………….………24 1.4.2 Driver para motor paso a paso ULN2003…………………….……..……..26 1.4.3 Amplificador operacional…………………26 1.5 FPGA: TARJETA DE DESARROLLO……………29 1.5.1 FPGA…………………….……29 1.5.2 Tarjeta de desarrollo………………32 1.6 VHDL………………….……….35 1.6.1 Unidades de diseño…………………36 1.6.2 Descripción en VHDL…………………….38 1.7 REDES NEURONALES ARTIFICIALES………………39 1.7.1 ¿Qué es una red neuronal? …………41 1.7.2 Modelos de redes neuronales………43 2 DISEÑO MECATRONICO……………………47 2.1 SELECCIÓN Y DISEÑO DE ROBOT………49 2.1.1 Selección de configuración de robot…………………….…………………..49 2.1.2 Movimientos del robot………..50 2.2 DISEÑO MECANICO…………………………52 2.2.1 Selección de motores eléctricos DC…………………….…………………..52 2.2.2 Acople para el motor…………………..55 2.2.3 Análisis de fuerzas y energía………57 2.2.4 Diseño final…………………61 2.3 CONFIGURACION Y CONTROL DE SENSORES Y ACTUADORES………64 2.3.1 Módulo sensor ultrasónico……64 2.3.2 Configuración motor paso a paso…………………….……………………… 2.3.3 Controlador de velocidad para motores DC……………………….……….. 2.4 PROGRAMACION DEL ROBOT…………………….…………………………. 2.4.1 Estructura de las unidades de diseño en VHDL………………………….. 2.4.2 Subrutinas globales…………………….…………………….………………. 2.4.3 Memoria de trayectoria recorrida…………………….……………………… 2.4.4 Sistema de búsqueda de trayectoria…………………….…………………. 2.5 INTERFAZ DE USUARIO…………………….…………………….……..…….. 2.5.1 Dispositivos…………………….…………………….………………………… 2.5.2 Secuencia de operación del robot…………………….…………………….. 3 CONSTRUCCION…………………….…………………….……………………… 3.1 CHASIS…………………….…………………….……………………………….. 3.2 TARJETAS IMPRESAS…………………….…………………….……………… 3.2.1 Tarjeta principal…………………….…………………….…………………… 3.2.2 Tarjeta secundaria…………………….…………98 3.3 TARJETA DE DESARROLLO…………………….……99 3.4 ENSAMBLE FINAL……..…………….………100 CONCLUSION….………………….………102 BIBLIOGRAFIA………………….……104spa
dc.format.mimetypeapplication/pdfspa
dc.language.isospaspa
dc.rights.urihttp://creativecommons.org/licenses/by-nc-nd/2.5/co/*
dc.titleRobot móvil controlado por dispositivo lógico programablespa
dc.title.translatedMobile robot controlled by programmable logic deviceeng
dc.degree.nameIngeniero Mecatrónicospa
dc.coverageBucaramanga (Colombia)spa
dc.publisher.grantorUniversidad Autónoma de Bucaramanga UNABspa
dc.rights.localAbierto (Texto Completo)spa
dc.publisher.facultyFacultad Ingenieríaspa
dc.publisher.programPregrado Ingeniería Mecatrónicaspa
dc.description.degreelevelPregradospa
dc.type.driverinfo:eu-repo/semantics/bachelorThesis
dc.type.localTrabajo de Gradospa
dc.type.coarhttp://purl.org/coar/resource_type/c_7a1f
dc.subject.keywordsRobots VHDL (Hardware Description Language)eng
dc.subject.keywordsMechatronic Engineeringeng
dc.subject.keywordsInvestigationseng
dc.subject.keywordsAnalysiseng
dc.subject.keywordsUltrasonic sensoreng
dc.identifier.instnameinstname:Universidad Autónoma de Bucaramanga - UNABspa
dc.identifier.reponamereponame:Repositorio Institucional UNABspa
dc.type.hasversioninfo:eu-repo/semantics/acceptedVersion
dc.rights.accessrightsinfo:eu-repo/semantics/openAccessspa
dc.rights.accessrightshttp://purl.org/coar/access_right/c_abf2spa
dc.relation.referencesDíaz Rueda, Sebastián, Rodríguez Quiñonez, Xavier Orlando, Chio Cho, Nayibe (2007). Robot móvil controlado por dispositivo lógico programable. Bucaramanga (Colombia) : Universidad Autónoma de Bucaramanga UNABspa
dc.relation.referencesOLLERO BATURONE, Aníbal. Robótica Manipuladores y robots móviles. Barcelona. Marcombo. 2001. 447 p. 84-267-1313-0.spa
dc.relation.referencesBARRIENTOS, Antonio et all. Fundamentos de robótica. Madrid. McGraw Hill. 1997. 327p. 84-481-0815-9.spa
dc.relation.referencesPARDO, Fernando. BOLUDA, José A. VHDL Lenguaje para síntesis y modelado de circuitos 2ª edición. Madrid. RA-MA. 251 p. 84-7897-595-0.spa
dc.relation.referencesMAXINEZ, David G. ALCALA, Jessica. VHDL El arte de programar sistemas digitales. México, D.F. 352 p. 970-24-0259-Xspa
dc.relation.referencesKUO, Benjamín C. Sistemas de control digital. México, D.F. Compañía editorial continental. 1997. 751 p. 968-26-1292-6.spa
dc.relation.referencesSpartan-3E Starter Kit Board User Guide. 2006. 164 p.spa
dc.relation.referencesGONZALEZ, Hernán. JURADO, Javier. Diseño y construcción de un robot móvil guiado por señales moduladas. Bucaramanga. 2005. 162 p.spa
dc.relation.referencesPLATA, Fabio. SERRANO, Raúl. Diseño y construcción de un prototipo de robot móvil teleoperado para inspección visual. Bucaramanga. 2006. 114 p.spa
dc.relation.referenceshttp://es.wikipedia.org/wiki/FPGA. FPGAspa
dc.relation.referenceshttp://cfievalladolid2.net/tecno/ctrl_rob/robotica/movil.htm. Robots móviles: diseñospa
dc.contributor.cvlachttps://scienti.minciencias.gov.co/cvlac/visualizador/generarCurriculoCv.do?cod_rh=0000375918*
dc.contributor.cvlacChío Cho, Nayibe [0000375918]
dc.contributor.googlescholarhttps://scholar.google.es/citations?hl=es#user=mModWy8AAAAJ*
dc.contributor.googlescholarChío Cho, Nayibe [mModWy8AAAAJ]
dc.contributor.orcidhttps://orcid.org/0000-0002-9459-4350*
dc.contributor.orcidChío Cho, Nayibe [0000-0002-9459-4350]
dc.contributor.researchgatehttps://www.researchgate.net/profile/Nayibe_Chio*
dc.contributor.researchgateChío Cho, Nayibe [Nayibe_Chio]
dc.subject.lembRobots VHDL (Lenguaje de descripción de hardware)spa
dc.subject.lembIngeniería mecatrónicaspa
dc.subject.lembInvestigacionesspa
dc.subject.lembAnálisisspa
dc.description.abstractenglishIn mobile robotics there is a large number of jobs focused on different tasks, among some of these we have, navigation between labyrinths, the collection of objects or the recognition of environments. In this case, the task consists of moving from one point to another on a plane, avoiding obstacles, if they exist. Two characteristics differentiate this work from others, one of them, the absence of a map or guide to achieve the trajectory, since only the two points are identified by means of Cartesian coordinates. The other feature is the way to control the robot, using an FPGA or logic gate arrangement of the Xilinx brand, and belonging to the Spartan 3E family. This FPGA is mounted on a development board in which it is connected to different interfaces and devices, some of these used for the robot's operation, such as: buttons, connectors, LCD screen, digital-analog converter, among others. The FPGA is programmed using the VHDL hardware description language, through which the functions that the robot components must perform are specified and then synthesized in the format understood by the FPGA using the synthesis tool provided with the development card. The FPGA implementation offers the great advantage of parallel processing. In this way, the signals from the sensors are received and control actions are immediately carried out without the need to wait for other sequences to finish.eng
dc.subject.proposalSensor ultrasónicospa
dc.subject.proposalSecuencia de operaciónspa
dc.subject.proposalDispositivosspa
dc.type.redcolhttp://purl.org/redcol/resource_type/TP
dc.rights.creativecommonsAtribución-NoComercial-SinDerivadas 2.5 Colombia*
dc.contributor.researchgroupGrupo de Investigación Control y Mecatrónica - GICYMspa
dc.contributor.researchgroupGrupo de Investigaciones Clínicasspa
dc.contributor.apolounabChío Cho, Nayibe [nayibe-chío-cho]
dc.coverage.campusUNAB Campus Bucaramangaspa
dc.description.learningmodalityModalidad Presencialspa
dc.contributor.linkedinChío Cho, Nayibe [nayibe-chio-cho-41a17724]


Ficheros en el ítem

Thumbnail
Thumbnail
Thumbnail

Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem

Atribución-NoComercial-SinDerivadas 2.5 Colombia
Excepto si se señala otra cosa, la licencia del ítem se describe como Atribución-NoComercial-SinDerivadas 2.5 Colombia